Icarus Verilog(Windows版)のインストールと設定

Icarus Verilog(Windows版)のダウンロード

  1. https://bleyer.org/icarus/にアクセス.

  2. 一番上の最新のインストーラー(ここではiverilog-v11-20200824-x64_setup.exe )をダウンロード


インストールの開始

  1. ダウンロードしたインストーラーをクリック

  2. 現れたウィンドウで「I accept the agreement」(GNU GPLに同意する)を選び,「Next」をクリック.


コンポネントの選択

  1. Select Componentの画面(コンポネント選択画面)があらわれるまで「Next」をクリック

  2. コンポネントをすべてチェックし,「Next」をクリック


パスの設定

  1. Additional tasksの画面(追加タスクの画面)があらわれたら,「Add executable folder(s) to the user PATH」をチェック.

  2. 「Create a desktop shortcut」はチェックしてもしなくてもよい.

  3. 「Next」をクリック


インストールの実行

  1. Ready to Installの画面で「Install」を実行すると,Icarus Verilogがインストールされる.